Merge pull request #65 from fortysixandtwo/documentation_examples

examples: Document UART initialization
This commit is contained in:
Marek Kraus 2020-11-02 18:01:12 +01:00 committed by GitHub
commit 67af171da0
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
3 changed files with 12 additions and 2 deletions

View File

@ -73,6 +73,10 @@ void user_vApplicationIdleHook(void)
void bfl_main(void) void bfl_main(void)
{ {
/*
* Init UART using pins 16+7 (TX+RX)
* and baudrate of 2M
*/
bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000); bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000);
helloworld(); helloworld();
} }

View File

@ -265,7 +265,10 @@ void bfl_main()
static StackType_t aos_loop_proc_stack[1024]; static StackType_t aos_loop_proc_stack[1024];
static StaticTask_t aos_loop_proc_task; static StaticTask_t aos_loop_proc_task;
/*Init UART In the first place*/ /*
* Init UART using pins 16+7 (TX+RX)
* and baudrate of 2M
*/
bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000); bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000);
puts("Starting bl602 now....\r\n"); puts("Starting bl602 now....\r\n");

View File

@ -262,7 +262,10 @@ void bfl_main()
static StackType_t aos_loop_proc_stack[1024]; static StackType_t aos_loop_proc_stack[1024];
static StaticTask_t aos_loop_proc_task; static StaticTask_t aos_loop_proc_task;
/*Init UART In the first place*/ /*
* Init UART using pins 16+7 (TX+RX)
* and baudrate of 2M
*/
bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000); bl_uart_init(0, 16, 7, 255, 255, 2 * 1000 * 1000);
puts("Starting bl602 now....\r\n"); puts("Starting bl602 now....\r\n");